Dylan Patel Profile picture
Jan 12 27 tweets 7 min read
TSMC Q4 2022 Earnings Thread
Record numbers, but all eyes are on utilization rates and capital spending guidance for 2023.
TSM came in on the low end of previous guidance for revenue due to utilization issues from economic weakness. $TSM
Margins were above guidance, primarily due to foreign exchange changes. TSMC specifically cited utilization rates as a bit of a drag.
N5 and N7 grew in 2022, but there is some weakness now.
Q4 had a slight decrease in wafer shipments year on year, but huge ASP increases from N5
Smartphone is only slightly down, but TSMC says end market will go down more
Q1 2023 guidance is out!
$16.7 to $17.5B revenue which is down significantly down due vs this quarter's $19.3B
Underutilization issues due to semiconductor cyclicality.
Margins are down massively to 53.5% to 55.5% from 62.2%
Long term gross margin guidance for TSMC is still 53%.
TSMC says R&D spending should be up 20% this year.
TSMC is tightening its capital spending saying they are being disciplined based on long term structural growth.
2023 $32B to $36B capex budget, which is down from this year
The $32B to $36B Capex is split 70% to the leading edge, 20% to the trailing edge, and 10% to mask making and advanced packaging.
Leading edge - ~$23.8B
Trailing edge - ~$6.8B
Masks and packaging - ~$3.5B
TSMC expects the semiconductor cycle to bottom in the first half.
They project the industry to have
-4% for the semiconductor industry in 2023, excluding memory.
-3% for foundry.
TSMC expects they will beat that by growing slightly
N3 will be mid-single-digit revenue to be 2023.
Higher than N5 was in 2020, but N5 went into production in April 2020 vs N3 being in production all year.
Much slower ramp, especially considering the huge increase in wafer pricing.
semianalysis.com/p/tsmcs-3nm-co…
N7/N6 utilization was lower than expected in Q4.
The capacity commitments are very flexible as expected.
It will be down a lot in H1, and with only a mild pick up in 2H23.
TSM says it is a temporary issue, not a cyclical one and that specialty technologies on N7 will ramp.
TSMC is considering specialty fab in Europe for automotive-specific customers based on government support.
TSMC asking the EU to show them the money if they want a fab.
Margins of overseas fabs are lower outside of Taiwan but with government support, TSMC can make up for it.
TSMC confirms they are pricing to value for the geographic diversity benefits that customers get from overseas fabs.
Confirms that US and Japan fabs do have higher pricing.
The first question is about cost differential between US and Taiwan.
They used the press releases from TSMC to say that it costs ~$300k per wafer for N3 in TW vs ~$800k for N3 in US.
He ignores the TW number is for Capex only, US is not.
Explained here.
semianalysis.com/p/tsmcs-3nm-co…
TSMC tax rate is going from 11% to 15% year on year due to the expiration of tax benefits in Taiwan.
Taiwan is likely going to pass more benefits, but they haven't yet.
CC Wei is saying that semiconductors will capture more value in our daily lives. Says TSMC are pricing to value and that structurally brings up gross margin vs few years ago.
TSMC argues the 7nm underutilization issues are temporary and will not be repeated at 5nm and 3nm
That's an interesting thought
Part of the argument as that the tools are very transferrable
Definitely true for N3E and N5, but SAC and higher number of EUV for N3, less transferable
Their main argument was around the pandemic boom and supply chain disruptions which led to inventory buildups and pull-forward demands.
"Certainly, it's not a U shape for the recovery in the 2nd half"
WOW
😳😳😳
TSMC says long term capital intensity is mid to high 20%'s
Question about if there is value in Moore's Law going forward given cost
TSMC argues density is important, but power consumption is even more important, and 3D IC SoIC technologies are also than the density of a process node
Power is where customers will derive value in shrinks
In response to leading-edge costs, TSMC says
"We do not see any slowdowns for the adoption of our leading-edge technology"
"Technology adoption did not slow down"
TSMC also talks up hyperscale customers adopting leading edge.
A big part of the doubling in N3 tape-outs.
Question about if hyperscale demand will cannibalize the demand from merchant silicon.
TSMC says they don't think so.
TSMC reiterates 15% to 20% CAGR for revenue after 2023 slightly up year.
TSMC believes in 2023, units will fall for smartphones and PCs in 2023, but the content will go up.
Furthermore, they believe they will gain a share in these areas, which allows them to grow in 2023
Advanced packaging grew at a similar rate in 2022 as total corporate rate.
~7% of revenue
TSMC believes that advanced packaging revenue in 2023 will be flat vs slight growth in total business.
Advanced packaging is under growing wafer revenue growth
TSMC argues they are a service business, not a contract manufacturer.
They are totally right because the foundry is rarely a 1-way street.
There is a constant back and forth with customers and co-development.
See the full report of earnings here! More details included!

Full earnings report detailed and dissected here in a quick, punchy article.

• • •

Missing some Tweet in this thread? You can try to force a refresh
 

Keep Current with Dylan Patel

Dylan Patel Profile picture

Stay in touch and get notified when new unrolls are available from this author!

Read all threads

This Thread may be Removed Anytime!

PDF

Twitter may remove this content at anytime! Save it as PDF for later use!

Try unrolling a thread yourself!

how to unroll video
  1. Follow @ThreadReaderApp to mention us!

  2. From a Twitter thread mention us with a keyword "unroll"
@threadreaderapp unroll

Practice here first or read more on our help page!

More from @dylan522p

Oct 27, 2022
Intel earnings thread /1
Datacenter and AI group did totally horribly...
Literally no operating profit.
The worst quarter in the last couple of decades of the history of datacenter.
$INTC $AMD $TSM $NVDA $MRVL
The guide down on Q4 is immense!
Q1 $18.4B Revenue, $1.98 EPS
Q2 $15.3B Revenue, -$0.11 EPS
Q3 $15.3B Revenue, $0.25 EPS
Q4 $14.5B Revneue, -$0.01 EPS
The business is imploding.
Nice way to announce cost cuts/layoffs
“As we usher in the next phase of IDM 2.0, we are focused on embracing an internal foundry model to allow our manufacturing group / business units to be more agile, make better decisions / establish a leadership cost structure”
David Zinsner
Read 13 tweets
Oct 18, 2022
#OCPSummit22 kicking off. First keynote by Intel
"We have an amazing track record of improving energy efficiency" - @intel Zane Bell
Umm...
He's talking about datacenters, but Moore's law slide here is a bit funny given the history.
The bit on server resilience is very important. ImageImageImage
Intel is releasing a spec for immersion cooling, and will offer warranty too
"Air is running out of steam. It's time to embrace immersion cooling" - @intel Zane Bell
"More energy in immersion cooling than ever, the time is now"
#OCPSummit22 ImageImageImage
Up next at #OCPSummit22 is @Meta
They do 90M AI inference per second for Instagram!
Their models are massive but compute is not as high for DLRMs due to continuous and categorical features stored in embedding tables.
@OpenComputePrj Image
Read 23 tweets
Sep 11, 2022
The story of Cormac, an African American mechanical engineer who has done everything he's supposed to, but been screwed over by life and our American system.
He doesn't want a handout, just a job.
Please read, like, and retweet this thread.
Reach out if you can help!
1/13 ImageImage
Cormac did everything right in life, everything our society says.
He took dual enrollment and AP classes in high school.
He went to a state university with a scholarship + job that covered a chunk of the costs.
He chose to pursue mechanical engineering at a top 50 school!
2/13
Cormac even secured himself an apprenticeship and masters degree position at a top industrial firm in Germany after he graduated.
Cormac also had many hobbies aswell. He loved to bike, cars (electric vehical engineering), sports, computer hardware, clean energy, and more.
3/13
Read 13 tweets
Feb 18, 2022
Intel Is Throwing The Kitchen Sink, But Is The Turn Around Plan Reasonable?
Deep dive on Tower Semiconductor Fabs/IP
Intel Culture Shift
Future Product And Roadmap Competitiveness By Business Unit
$INTC $TSM $TSEM $NVDA $AMD $MRVL $AMAT $ASML $LRCX $KLA
semianalysis.substack.com/p/intel-is-thr…
I mapped out Tower Semiconductors capacity. I also wrote a lot about their specific differentiated technologies.
In short, Intel acquired ~2 million wafers per year of a lot of niche technologies and great people to help accelerate their foundry push.
$INTC $TSEM $TSMC $UMC $GFS Image
I also wrote a lot about the culture shift at Intel, including a really great story about Intel and how they treated various semicap firms (poorly).
This specific story is Applied Materials, but tons of other horror stories I've heard the supply chain
$INTC $AMAT $LRCX $ASML $KLA Image
Read 8 tweets
Oct 20, 2021
The story about Alibaba/THead 5nm Arm server chip is more complicated than it seems!
$BABA/Chinese media say in-house independent design
Taiwan media has said it uses a Taiwan based design house
The theory is it's externally designed to get around IP restriction.
/1

$NVDA $SFTBY
On first glance, stellar specs. SpecInt score matching the best current chips from AMD and demolishing Intel.
2 die package with 60B transistors!
64KB+64KB L1 cache, 1MB L2 cache, 128MB L3 cache
8 channel DDR5 4400, 96x lanes PCIe 5.0
2.75-3.2GHz, 250W TDP
Damn impressive!
2/
So @Stewrandall pointed out that Arm seems excited on their social media, but the Arm China WeChat account has said nothing.
That seems really odd?!?!
Arm China should be hailing this. Are they not involved?
That seems possible given it's gone rogue.
/3
Read 11 tweets
Oct 19, 2021
The new Macbook Pro's are HALF as efficient as the Macbook Air/Pro 13" in web browsing!
Battery life is a massive improvement over the successor, but efficiency is down quite a bit compared to these original M1 Macs.
Part of this is due to screen differences, part due to SOC/mem. Image
With the die shots Apple released. I think there are some errors in it.
Using them for face value, @Locuza_ + @andreif7 calculated die sizes:
M1 Pro 245.08-245.92mm^2
M1 Max 429.17-432.35mm^2
Both noticed some these, but it should be
M1 Pro 241.7mm^2
M1 Max 383.5mm^2 Image
Why the discrepancy?
The Firestorm CPU core, GPU cores, and 11 TOPs NPU are all scaled larger.
If they were scaled the same as real die shots of M1, then those would be the die sizes.
Both @Locuza_ and @andreif7 noticed this odd scaling btw
Read 11 tweets

Did Thread Reader help you today?

Support us! We are indie developers!


This site is made by just two indie developers on a laptop doing marketing, support and development! Read more about the story.

Become a Premium Member ($3/month or $30/year) and get exclusive features!

Become Premium

Don't want to be a Premium member but still want to support us?

Make a small donation by buying us coffee ($5) or help with server cost ($10)

Donate via Paypal

Or Donate anonymously using crypto!

Ethereum

0xfe58350B80634f60Fa6Dc149a72b4DFbc17D341E copy

Bitcoin

3ATGMxNzCUFzxpMCHL5sWSt4DVtS8UqXpi copy

Thank you for your support!

Follow Us on Twitter!

:(