=>
"Celebrating One Billion 7nm Chips: Why Scale Matters", TSMC Blog, Aug 20, 2020 tsmc.com/english/newsEv…
entered volume production in April 2018
100+ products
Automotive Quality System to the 7nm in 2019
Dry-Clean Technique for EUV Mask, Jul 2020
=>
"ASML unveils EUV Technology Training Center in Taiwan", Aug 20, 2020 asml.com/en/news/press-…
Tainan Science Park
ASML expects to develop 360 EUV engineers/year
18 months
An EUV training center in South Korea

TSMC continues to expand capacity, Aug 20 focustaiwan.tw/business/20200…
=>
"CHIPS Act Targets Post-Globalized Industry", Aug 12, 2020 eetimes.com/chips-act-targ…
Dan Hutcheson, CEO of VLSI Research
James Lewis, CSIS

American Foundries Act, S.Amdt to NDAA FY2021
CHIPS for America Act
=>
"Microsoft and TSMC announce Joint Innovation Lab to accelerate silicon design on Azure", Aug 24, 2020 azure.microsoft.com/ja-jp/blog/mic…
Virtual Design Environment
New VM types most suitable for EDA
Cloud-optimized design solution
Azure HPC for Silicon azure.microsoft.com/en-us/solution…
=>
"TEMPO: Fast Mask Topography Effect Modeling with Deep Learning", ISPD 2020 ywwwer.github.io/publications/p…
Slides ispd.cc/slides/2020/TE…
Best Paper
Bottleneck in IC Manufacturing: Lithography
What you see (at design) ≠ what you get (at fab)
Conditional Generative Adversarial NWs ImageImageImageImage
=>
"TSMC Details 3nm Process Technology: Full Node Scaling for 2H22 Volume Production", Aug 24, 2020 anandtech.com/show/16024/tsm…
"‘Better Yield on 5nm than 7nm’: TSMC Update on Defect Rates for N5", Aug 25, 2020 anandtech.com/show/16028/bet…
Image
=>
"Machine Learning in Computational Lithography", ASML, SPIE eBeam lunch Talk, Feb 26 2019
19:59
Slides ebeam.org/docs/SPIE2019-…
DRAM, SPIE 2019
OPC, ASML & SK Hynix spiedigitallibrary.org/conference-pro…
SRAF, CXMT & ASML spiedigitallibrary.org/conference-pro…
ImageImageImageImage
=>
TSMC Teases 12-High 3D Stacked Silicon, Aug 25, 2020 anandtech.com/show/16026/tsm…
TSMC's Version of EMIB is ‘LSI’, Aug 25 anandtech.com/show/16031/tsm…
Local Si Interconnect
"Where are my GAA-FETs? TSMC to Stay with FinFET for 3nm", Aug 26 anandtech.com/show/16041/whe…
=>
"Synopsys, Cadence, Mentor and SiPearl/Arm chips stories in focus at TSMC's Open Innovation Platform Ecosystem Forum", Aug 31, 2020 primeurmagazine.com/weekly/AE-PR-0…
20:15
Graphcore 3nm, Aug 27 anandtech.com/show/16040/tsm…

SiPearl and Arm ImageImageImageImage
=>
"Will Programmable Hardware Reach Scale?", Doug Burger, Microsoft, Keynote, FPL 2020, Sep 1 whova.com/embedded/sessi…

SandPiper, RedWood EDA redwoodeda.com/products
SandPiper™: the first and best commercial tool to support TL-Verilog (version 1a-1d)
=>
OIF-CEI-04.0, Dec 2017, (464 pp) oiforum.com/wp-content/upl…
PAM4 Signaling Fundamentals, Intel, Mar 2019 (52 pp) intel.com/content/dam/ww…
日本語 intel.co.jp/content/dam/al…
POWER10 (=> 32 GT/s) NVLink 3.0 (=> 50 Gb/s)
GDDR6X (19-21 Gb/s) ImageImageImageImage
=>
DARPA ERI Summit 2020, Aug 18-20. 2020 eri-summit.darpa.mil/2020-agenda
V-List youtube.com/playlist?list=…

Heterogeneous 3D Microsystems: Design, Fabrication, and Packaging, Plenary Session, Aug 20
1:27:43
H.-S. Philip Wong, Hot Chips 2019 ImageImageImageImage
=>
"米、半導体に補助金2.6兆円 生産海外依存に危機感"、2020/9/26 23:00日本経済新聞 電子版 nikkei.com/article/DGXMZO…
Nikkei以外の英文記事が見当たらない、総額、超党派といい↓から新たに $25B?
ITIFで $25Bでこれがあるけど (9/22)、$25Bは↓ chinatechthreat.com/semiconductors…
=>
"Study Finds Federal Incentives for Domestic Semiconductor Manufacturing Would Strengthen America’s Chip Production, Economy, National Security, Supply Chains", SIA, Sep 16, 2020 semiconductors.org/study-finds-fe…
Next 10 years with $50 B federal investment
PDF semiconductors.org/wp-content/upl… ImageImageImageImage
=>
"An Allied Approach to Semiconductor Leadership", ITIF (Information Technology and Innovation Foundation), Sep 17, 2020 itif.org/sites/default/…
Coordinated
Technology Development
Semiconductor Ecosystem Development
Technology Protection
SIA, Sep 16 ImageImageImageImage
=>
"Appleデバイスの次の心臓となるSoC「Apple A14」"、後藤 弘茂、2020年9月30日 pc.watch.impress.co.jp/docs/column/ka…
Apple Patents, A14 Bionic, Sep 15
「こうしたトレンドを理解するには、そもそもA14シリコンのプロセス技術自体を知る必要がある」
Appleの戦略
5nmプロセスの解説 Image
=>
"Making KGD silicon work in your supply chain", David Greenlaw, VP of Product Engineering, NVIDIA, Keynote, Known Good Die Workshop, Sep 16, 2020
1:06:57
Slides kgdworkshop.org/index.php/down…
Foundry / DRAM
Run the Fab
Customer Focus
Technology Development ImageImageImageImage
=>
"Intel Wins US Government Advanced Packaging Project", Oct 2, 2020 newsroom.intel.com/news/intel-win…
Second phase of DoD's State-of-the-art Heterogeneous Integrated Packaging (SHIP) Prototype Project, funded by the Trusted and Assured Microelectronics program
=>
Intel Celebrates Manufacturing Day, Oct 2, 2020 newsroom.intel.com/news/2020-manu…
"Building a Future for ... in America" newsroom.intel.com/editorials/bui…
SIA
"Why manufacturing matters: Intel's 40 years in Arizona", Bob Swan azcentral.com/story/sponsor-…
=>
GLOBALFOUNDRIES
ON Semicon and GLOBALFOUNDRIES Partner to Transfer Ownership of East Fishkill, Apr 22 2019
Jul 2019
China Chengdu Fab 11
Oct 1, 2020
成都高真科技有限公司
CEO: 前SK Hynix 副会长 崔珍奭
=>
"Audit of DoD Hotline Allegations Concerning the Defense Microelectronics Activity", DoD Office of Inspector General, Mar 24, 2020 dodig.mil/reports.html/A…
to determine whether the Defense Microelectronics Activity (DMEA) resolved customer requests
T&AM ImageImageImageImage
=>
GLOBALFOUNDRIES and SkyWater Sign MOU, Jun 18, 2020 skywatertechnology.com/press-releases…
SkyWater Begins Domestic Fab Expansion Tool Installation to Support DOD Investment of up to $170M, Sep 8, 2020 skywatertechnology.com/press-releases…
DoD Trusted and Assured Microelectronics
=>
[SIA Webinar] "Turning the Tide for Semiconductor Manufacturing in the U.S.", Sep 18, 2020, semiconductors.org/events/webinar…
59:24
Report: "Government Incentives and U.S. Competitiveness in Semiconductor Manufacturing", SIA & BCG, Sep 16
=>
[Sample] Intel Foveros 3D Packaging Technology, Oct 2020 s3.i-micronews.com/uploads/2020/1…
Flyer s3.i-micronews.com/uploads/2020/1…
Lakefield, Hot Chips 2019
Jul 2019
Jun 2020
Architecture Day, Aug 2020 ImageImageImageImage
=>
Making the new normal a better normal … with Tom Caulfield, of GLOBALFOUNDRIES, Oct 6, 2020, weVISION Interview, VLSIresearch
14:14 vlsiresearch.com/making-the-new…
GLOBALFOUNDRIES and SkyWater Sign MOU, Jun 18, 2020

成都 GF Foundry
=>
"ASML reports €4.0 billion net sales at 47.5% gross margin in Q3 2020", Oct 14, 2020 asml.com/en/news/press-…
Strong second half supports expected 2020 revenue of at least €13.3 billion
asml.com/en/investors/f…
Slides asml.com/-/media/asml/f…
Q2 2020 ImageImageImage
=>
eBeam Initiative Virtual Event during the 2020 Photomask Technology Conference, Sep 22, 2020
53:06
PDFs
ebeam.org/docs/eBeam-Lum…
ebeam.org/docs/eBeam-Mas…
Panel discussion
Moderator: Aki Fujimura, D2S
Naoya Hayashi, DNP
Jed Rankin, GF
Emily Gallagher, imec ImageImageImageImage
=>
"Energy Limits in Computation: A Review of Landauer’s Principle, Theory and Experiments", 2019 link.springer.com/book/10.1007/9…
Craig S. Lent scholar.google.com/citations?hl=j…
Alexei O. Orlov scholar.google.com/citations?hl=j…
Wolfgang Porod scholar.google.com/citations?hl=j…
Gregory L. Snider scholar.google.com/citations?hl=j… ImageImage
=>
TSMC, 3Q/2020, Oct 15, 2020
Release tsmc.com/uploadfile/ir/…
Financial Statements tsmc.com/uploadfile/ir/…
Slides tsmc.com/uploadfile/ir/…
Management Report tsmc.com/uploadfile/ir/…
5nm: 8% <= 0%
Transcript tsmc.com/uploadfile/ir/…
2Q/2020, Jul 16, 2020 ImageImageImageImage
=>
DoD $197.2 Million for Microelectronics, Oct 15, 2020 defense.gov/Newsroom/Relea…
via @zeroasic
Rapid Assured Microelectronics Prototypes (RAMP) P1, $24.5 M: Microsoft & IBM
SOTA Heterogeneous Integration Prototype (SHIP) P2, $172.7 M: Intel Federal & Qorvo
=>
"China Forecast to Represent 22% of the Foundry Market in 2020", Research Bulletins. Oct 13, 2020, IC Insights icinsights.com/news/bulletins…
PDF icinsights.com/data/articles/…
The McClean Report icinsights.com/services/mccle… ImageImage
=>
On Scaling and Futures for IC Design, ML, and EDA, Andrew B. Kahng, UCSD, at Google, Jun 10, 2020
51:46 vlsicad.ucsd.edu/NEWS20/Google_…
PPTX (40 MB) vlsicad.ucsd.edu/NEWS20/GoogleB…
@OpenROAD_EDA DAC 2019
Placement Optimization, Google ISPD 2020 ImageImageImageImage
=>
"Next Wave of Semiconductor Innovation", Walden Rhines, CEO, Cornami / CEO Emeritus of Mentor, Keynote, CASPA 2020 Annual Conference, Oct 17, 2020
Making Data the New Oil
Collection
Analysis (Domain-Specifi)
Protection (FHE)
ImageImageImageImage
=>
"IFTLE 454: TSMC Exhibits Packaging Prowess at Virtual ECTC 2020", Jun 22, 2020 3dincites.com/2020/06/iftle-…
InFO_SoW (System-on-Wafer) for HPC
ieeexplore.ieee.org/document/91592…
7x7 Chip array
2x Bandwidth & 97% lower PDN impedance
15% Power saving of the Interconnects
TDP: 7000W (1.2W/mm^2) ImageImage
=>
GF GTC 2020 EMEA, On-Demand gf.swoogo.com/gtc2020emea/52…
through Nov. 15
Paul Boudre, Soitec gf.swoogo.com/GTC2020EMEA/sp…
Loic Liétar, GreenWaves gf.swoogo.com/GTC2020EMEA/sp…

Mark Wade, Ayar Labs gf.swoogo.com/GTC2020EMEA/sp…
Nick Harris, Lightmatter gf.swoogo.com/GTC2020EMEA/sp…
=>
"Innovative Heterogeneous Integration Technologies
The New Path Going Forward", Douglas Yu, VP of Integrated Interconnect & Packaging under R&D, TSMC, Keynote, ECTC 2020, May 27
42:14 drive.google.com/file/d/13VIIus…
InFO_SoW (System-on-Wafer), TSMC, ECTC 2020 ImageImageImageImage
=>
"After 30 Years Why Are We Still Talking about Known Good Die?", Jan Vardaman, Techsearch International, Know Good Die Workshop, Sep 18, 2020
45:25
Slides kgdworkshop.org/index.php/down…
techsearchinc.com
TSMC, Keynote, ECTC 2020 ImageImageImageImage
=>
"Interconnect Scaling in Advanced Packaging Architectures", Ravi V. Mahajan, Inte Fellowl, EDPS 2020, Oct 1
19:53 ieee-edps.com/archives/2020/…
PDF ieee-edps.com/archives/2020/…
Intel's Packaging Vision - @Rajaontheedge
Dec 12, 2018 newsroom.intel.com/news/new-intel…
Aug 2020 ImageImageImage
@Rajaontheedge =>
DoD, Oct 15, 2020
SOTA Heterogeneous Integration Prototype (SHIP) P2, $172.7 M: Intel Federal & Qorvo

Intel, Oct 1
Intel's On-Package Interconnect Roadmap
Heterogeneous Integration is a key enabler of performance moving forward
=>
"Multi-disciplinary Simulation for 2.5/3D IC Co-Design", ANSYS, MEPTEC, May 20, 2020
34:17
PDF meptec.org//Downloads/MEP…
How to Design 2.5D/3D IC in a Single Workflow, Jun 24 ansys.com/blog/design-25…
Redhawk ansys.com/products/semic…
ImageImageImage
=>
"Challenges of Advanced Packaging Failure Analysis", Bernice Zee and Jiann Min Chin, AMD, Known Good Die Workshop, Sep 17, 2020
44:35
Slides kgdworkshop.org/index.php/down…
Next Generation Packaging Technologies
Bernice Zee ieeexplore.ieee.org/author/3708543… ImageImageImageImage
=>
"PyMTL3:
A Python Framework for Open-Source Hardware Modeling, Generation, Simulation, and Verification", Christopher Batten, Invited presentation at Xilinx, Jul 2020, PDF csl.cornell.edu/~cbatten/pdfs/…
IEEE Micro, Jul/Aug, 2020 csl.cornell.edu/~cbatten/pdfs/…
PyMTL ImageImageImageImage
=>
"SkyWater Appoints Two New Members to its Board of Directors", Oct 27, 2020 skywatertechnology.com/press-releases…
Wendi Carpenter (Rear Admiral (U.S. Navy retired)) en.wikipedia.org/wiki/Wendi_B._…
John Kurtzweil (CFO, Extreme NWs, Cirrus Logic)
Oct 23 skywatertechnology.com/press-releases…
=>
"Open-Domain Specific Architecture: An Overview", ODSA, OCP Server Project., MEPTEC-IMAPS Industry Speaker Series, Oct 14, 2020
59:54
Slides meptec.org//Downloads/Oct…
ODSA Workflow PoC Kit, Mar 13, 2020
ODSA opencompute.org/wiki/Server/OD… ImageImageImageImage
=>
"The Emergence of the Open-Source AIB Chiplet Ecosystem", D. Kehlet, Intel, CHIPS Alliance WS, Sep 17, 2020 chipsalliance2020.sched.com/event/cz4J?ifr…

Slides wdc.app.box.com/s/s15cdybwcjnw…
Advanced Interface Bus
TeraPHY Optical I/O, @AyarLabs , Sep 2020 ImageImageImage
=>
"Marvell to Acquire Inphi - Accelerating Growth and Leadership in Cloud and 5G Infrastructure", Oct 29, 2020 investor.marvell.com/news-releases/…
$66/share in cash
Slides marvell.gcs-web.com/static-files/c…
Inphi, Q3 2020, Oct 29 inphi.gcs-web.com/news-releases/…
Corporate Update, Oct 29 inphi.com/wp-content/upl… ImageImageImageImage
=>
@CEA_Leti: Collaboration w/ Intel to Advance Chip Design Through Cutting-Edge 3D Packaging Technologies, Oct 27, 2020 leti-cea.com/cea-tech/leti/…
HPC applications
ISSCC 2020
Best Paper, ECTC 2019 leti-cea.com/cea-tech/leti/…

Intel, Oct 1
=>
"Governor Cuomo Announces "Topping Off" Ceremony at Cree | Wolfspeed's Silicon Carbide Wafer Fab in Oneida County", Oct 29 2020 governor.ny.gov/news/governor-…
World's Largest Silicon Carbide Device Manufacturing Facility on Schedule for Production in 2022
wolfspeed.com
=>
"Measuring distortions in international markets: The semiconductor value chain", OECD Trade Policy Papers, Dec 12, 2019 doi.org/10.1787/8fe449…
Measuring government support
21 large semiconductor firms
$50 billion over the period 2014-18
SIA, Sep 2020 ImageImageImageImage
=>
"New SIA Report Highlights Industry's Strength and Looming Challenges", Jun 18, 2020 semiconductors.org/new-sia-report…
PDF semiconductors.org/wp-content/upl…
CHIPS Act
Government Incentives & U.S. Competitiveness, SIA, Sep 16
ImageImageImageImage
=>
Workshop: State of the Global Semiconductor Industry; An Overview, Travis Mosier, U.S. Department of Commerce, DARPA ERI Summit, 2020, Aug 20, 2020, PDF eri-summit.darpa.mil/docs/ERISUMMIT…
2020 State of the U.S. Semiconductor Industry, SIA, Jun 18
Report, Sep 16 ImageImageImageImage
=>
"N3XT Nano Systems for Abundant-Data Computing", Subhasish Mitra, Invited, Special Session, VLSI-SoC 2020, Oct 6, 2020
15:42
N3XT
Density Metric
Carbon Nanotubes, M. Shulaker, MIT ImageImageImage
=>
"Hardware security for and beyond CMOS technology", Johann Knechtel, NYU Abu Dhabi, CESG Seminar at Texas A&M, Oct 2, 2020, PDF s18798.pcdn.co/johann/wp-cont…
ISPD 2020, Invited, PDF s18798.pcdn.co/johann/wp-cont…
3D
Aug 2017
IOLTS 2019 ImageImageImageImage
=>
"Google/SkyWater and the Promise of the Open PDK", Efabless, WOSET 2020

PDF woset-workshop.github.io/PDFs/2020/a03.…
Slides woset-workshop.github.io/PDFs/2020/a03-…
github.com/google/skywate…
130nm 6 metal
striVe #RISCV SoC on SkyWater 130nm, @FossiFoundation Aug 25 ImageImageImageImage
=>
"Explainer on Packaging: Interposers, Bridges and Chiplets", Nov 10, 2020 eetimes.com/explainer-on-p…
with Ramune Nagisetty, Intel's packaging maven
ODSA, Oct 14
AIB Chiplet, Intel, Sep 17
Intel, DoD, Oct 15
=>
"Google Partners with SkyWater and Efabless to Enable Open Source Manufacturing of Custom ASICs", Nov 12, 2020 skywatertechnology.com/press-releases…
Google-sponsored MPW Shuttle Program
efabless.com/open_shuttle_p…
Nov 30: Project submission is closed
130 nm
WOSET 2020 Image
=>
"A Review of 5G Front-End Systems Package Integration", arXiv, Sep 15, 2020 arxiv.org/abs/2009.07208
A. Watanabe sites.google.com/view/atom-wat/…

DoD SHIP
Intel: Digital and Qorvo: RF
SHIP-RF, DARPA ERI-Summit, Aug 19, 2020 (14MB/ 133 pp) eri-summit.darpa.mil/docs/ERISUMMIT… ImageImageImageImage
=>
"Normally-Off Computing Design Methodology Using Spintronics: From Devices to Architectures", PhD Thesis, 2019 stars.library.ucf.edu/etd/6412/
Elastic Intermittent Computation as a new duty-cycle-variable computing approach
Arman Roohi armanroohi.com
cal.ucf.edu ImageImageImageImage
=>
"Open All The Way Down", @pdp7 , Nov 15, 2020 blog.oshpark.com/2020/11/15/ope…
SkyWater PDK enables fully open chips
*) HackSpace Magazine, Nov 2020

Google Partners with SkyWater and Efabless, Nov 12
Nov 30: deadline
Efabless, WOSET 2020
=>
Magic VLSI and Google/Skywater:
Using the Magic layout editor with the 130nm open PDK, Tim Edwards, @FossiFoundation Dial-Up, Nov 17, 2020
1:19:07
Google Partners w/ SkyWater & Efabless, Nov 12
WOSET 2020 ImageImageImageImage
=>
"IDA Ireland welcomes Qualcomm's multimillion-euro expansion of Cork facility", Nov 12, 2020 gov.ie/en/press-relea…
Qualcomm Technologies
€78m in R&D Center (ASIC, Advanced Design, SW)
New 4,600 m2 facility at Penrose Dock penrosedock.com
vimeo.com/409669901 ImageImageImageImage
=>
"Mediatek to acquire assets relating to power management from Intel", Nov 17 2020 evertiq.com/design/49123
"According to a filling with the Taiwanese stock exchange"
via its Richtek Technology subsidiary
Enpirion brand owned by Intel for USD 85 million
to close by 4Q20
=>
"SkyWater Technology and Multibeam Corporation Form Partnership to Deploy Multibeam's Innovative MEBL System", Nov 18, 2020 skywatertechnology.com/press-releases…
45 nm and beyond, to launch in 2021
Security Lithography, SPIE, Mar 2020 spiedigitallibrary.org/conference-pro…
Sep 2020
=>
Intel FPGA Technology Day, Nov 17, 2020
newsroom.intel.com/press-kits/fpg…
eASIC N5X: First structured eASIC family with an Intel FPGA compatible hard processor newsroom.intel.com/news/first-int…
intel.com/content/www/us…
May 2019
Intel Open FPGA Stack newsroom.intel.com/news/new-intel… ImageImageImageImage
=>
"EEE Update of the International Roadmap for Devices and Systems (IRDS) Defines Parameters for Advancing Computer and Electronics Industry Growth", Nov 19, 2020 businesswire.com/news/home/2020…
IRDS 2020 Editon irds.ieee.org/editions/2020
ICRC 2020 icrc.ieee.org
Dec 1-3, 2020
=>
"The Era of Hyperscaling in Electronics", Suman Datta, Univ of Notre Dame, IEEE SF Bay Area Nanotechnology Council, Sep 15, 2020 PDF site.ieee.org/sfbanano/files…
S. Datta scholar.google.com/citations?hl=e…
Nanoelectronic Devices and Circuits Lab ndclab.nd.edu
ascent.nd.edu ImageImageImageImage
=>
TSMC Wins Approval From Phoenix for $12 B Chip Plant, Bloomberg, Nov 20 (18), 2020 datacenterknowledge.com/business/tsmc-…
Phoenix City Council Formal Agenda, Nov 18 PDF phoenix.gov/cityclerksite/…

Samsung will invest $10 B in a EUV-only system LSI plant in Austin, Nov 18 infostockdaily.co.kr/news/articleVi… ImageImageImageImage
=>
"Kandou Raises 92.3 million in Series C Funding", Nov 17, 2020, PDF kandou.com/assets/downloa…
Total: $132.8 million
Technology kandou.com/technology/

"High Speed and Low-Power SerDes Architectures using Chord Signaling", Jan 2019
=>
"Intel to Keep Its Number One Semiconductor Supplier Ranking in 2020", IC Insights, Nov 23, 2020 icinsights.com/news/bulletins…
Seven top-15 semiconductor suppliers: ≥22% growth
1: Intel: 4%
2: Samsung: 9%
3:TSMC: 31%
...
8: NVIDIA: 50% (10)
13: Apple: 25% (15)
15: AMD: 41% (18) Image
=>
"Anti-ferroelectric HfxZr1-xO2 Capacitors for High-density 3-D Embedded-DRAM", Sou-Chi Chang, et al., Intel, IEDM 2020, Dec 17
DOCX ieee-iedm.org/wp-content/upl…
3D eDRAM
10ns Read / Write
Less than 1.8V
A novel architecture toward ultra-high density eDRAM
ieee-iedm.org ImageImage
=>
"Heterogeneous Integration Using Organic Interposer Technology", Amkor, ECTC 2020
19:34
ieeexplore.ieee.org/document/91592…
SWIFT amkor.com/technology/swi…

Comparison of Low-Cost Interposer, NVIDIA, 2013
新光電気工業 ImageImageImageImage
=>
"3D Packaging Architectures and Assembly Process Design", Ravi Mahajan (Intel) and Bob Sankman, in 3D Microelectronic Packaging, Nov 24, 2020 link.springer.com/chapter/10.100…
R. Mahajan, EDPS 2020

3D Microelectronic Packaging link.springer.com/book/10.1007/9…
18 chapters ImageImage
=>
"GlobalWafers Co., Ltd. Confirms Advanced, Near to Final Discussions on a Takeover Offer of Siltronic AG with Business Combination Agreement ...", Nov 30, 2020 sas-globalwafers.com/pages/gw/en/ne…
GWC, Nov 3, 2020 sas-globalwafers.com/GW/uploads/E33…
Siltronic AG, FY 2019, Mar 9 siltronic.com/fileadmin/inve… ImageImageImageImage
=>
"SkyWater Releases Early Access PDK and Partners with Trusted Semiconductor Solutions to Enable Design Kit for 90 nm Strategic Rad-Hard by Process Platform", Dec 2, 2020 skywatertechnology.com/press-releases…
RH90 FDSOI
MPW shuttle runs will begin in early 2021
NSREC 2020, Dec 4
=>
"Siltronic AG moves to new headquarters in Munich", Dec 1, 2020 siltronic.com/en/press/press…

あれ?、プレスリリースが…

News – GlobalWafers Co., Ltd sas-globalwafers.com/en/category/ne…
=>
"Chiplet Technologies for an Emerging Ecosystem", D. Kehlet, Intel, MEPTEC, Nov 25, 2020
1:11:21
PDF meptec.org//Downloads/dke…
CHIPS, ERI Summit 2019

eri-summit.darpa.mil/docs/Olofsson_…
eri-summit.darpa.mil/docs/Shumaraye…
Intel ImageImageImageImage
=>
OIF: 800G Coherent and Co-Packaging Framework IA Projects, Elects New Board Members/Positions, Officers and Working Group Chairs, Dec 8, 2020 oiforum.com/oif-launches-8…
Co-Packaging Framework Implementation Agreement Project, Nov 10 oiforum.com/oif-launches-c…
ImageImage
=>
"Joint declaration on processors and semiconductor technologies", EU, Dec 7, 2020 ec.europa.eu/digital-single…
PDF ec.europa.eu/newsroom/dae/d…
Advancing towards 2nm
20% of the European Recovery and Resilience plans should go to digital transition ec.europa.eu/info/business-…
145B€, 2-3 yrs ImageImageImage
=>
Next 50 in Global Tech: Semiconductors, WIA 2020: World Innovation Awards 2020, EqualOcean Intelligence, Dec 09, 2020 equalocean.com/research/20201…
2020全球半导体芯片科技创新TOP50、世界创新者奖项 2020、亿欧智库、2020年12月9日 iyiou.com/research/20201…
12月11日 iyiou.com/analysis/20201… ImageImageImageImage
=>
The Future of Material Science for Co-Packaged Optics, COBO, Nov 11, 2020
Development Opportunities for Thermoplastic optical Integration into Co-Packaged Applications
Advanced Material Solutions for Co-Packaged Optics
59:29
PDF …a-451d-86d7-8291b141a6cb.filesusr.com/ugd/7bc1dc_90a… ImageImageImageImage
=>
"Moore's Law and the Future of Test", Pooya Tadayon, Ph.D. Intel Fellow, Keynote, SWTest 2020 swtest.org/swtw_library/2…
"Moore's Law is Not Dead", Jim Keller, Sep 2019
Intel Architecture Day 2020, Aug 13
ImageImageImageImage
=>
"Foundry Revenue Expected to Reach New High in 2021 with Close to 6% YoY Growth as Capacities Remain Scarce Across Industry, Says TrendForce", Dec 29, 2020 trendforce.com/presscenter/ne…

SMIC, Dec 18
中芯国际内斗的背后 (Behind SMIC's internal fighting) Image
=>
NVIDIA, GDDR6X (PAM-4)
PAM-4, Patent
MTA encoding, Granted Nov 26, 2019 (Jan 2018) patents.google.com/patent/US10491…
Reducing coupling & power noise, Appl, Jul 30, 2020 (Jan 2019) patents.google.com/patent/US20200…
W. Dally, Granted Apr 14 (May 2019) patents.google.com/patent/US10623… ImageImageImageImage
=>
[ Sample ] Advanced Packaging, Quarterly Market Monitor: Package Service 2020, Yole Development, Dec 2020
PDF s3.i-micronews.com/uploads/2020/1…
Flyer s3.i-micronews.com/uploads/2020/1… ImageImageImageImage
=>
"台湾TSMC、日本に半導体新工場を検討 現地紙報道"、日経、2021年1月5日 12:17 nikkei.com/article/DGXZQO…
「「後工程」のパッケージ(封止)作業を担う新工場」
聯合報、05:29 udn.com/news/story/724…
cna.com.tw/news/firstnews…
外資因三大利多、11:58 businesstoday.com.tw/article/catego…
媒體報導

1月14日? Image
=>
IBM Selected for DoD Award to Support Advancing the U.S. Microelectronics Tech Design Capabilities, Dec 21, 2020 newsroom.ibm.com/2020-12-21-IBM…
Rapid Assured Microelectronics Prototypes
Advanced Commercial Capabilities Project
nstxl.org/opportunity/ra…
Oct 15
=>
"長野事業所・茅野工場 新棟(B棟)を竣工"、株式会社ディスコ、2021年1月6日 disco.co.jp/jp/news/corp/2…
既存棟を含めた茅野工場全体の延べ床面積は現在の7.5倍
生産体制の強化
BCM対応力の向上
2021年4月より順次稼働

Completion of New Building Construction at Nagano disco.co.jp/eg/news/corp/2… Image
=>
North Phoenix land auctioned Wednesday will become massive TSMC factory, Dec 9, 2020 azcentral.com/story/news/loc…
$89 million, 1,129 acres

City of Phoenix
phoenix.gov/pdd/i-17-and-c…
Meeting, Aug 3 phoenix.gov/pddsite/Docume…
Dec 16 phoenix.gov/cityclerksite/…
Nov 18 ImageImageImageImage
=>
"TSMC、つくばに開発拠点 先端半導体で日台連携"、日刊工業、2021/1/7 05:00 nikkan.co.jp/articles/view/…
※無料登録で可読
"建構對陸包圍網!日媒:台積電2025年在日本建半導體廠"、MoneyDJ新聞、2021-01-07 07:07:15 moneydj.com/KMDJ/News/News…
※日刊工業の報道を受けて
=>
Foreign-Trade Zone No. 75, City of Phoenix phoenix.gov/EconDev/Intern…
PDF phoenix.gov/econdevsite/Do…
Federal Register: Foreign-Trade Zone (FTZ) 75-Phoenix federalregister.gov/documents/sear…

Phoenix City Council, Dec 16, 2020
Foreign-Trade Zone Application for TSMC Image
=>
"A Brief Overview of On-Chip Voltage Regulation in High-Performance and High-Density Integrated Circuits", IEEE Access, Jan 5, 2021 ieeexplore.ieee.org/abstract/docum…
Low-Drop-Out (LDO) regulator
Switched-Capacitor (SC) regulator
86 references
M. Chowdhury scholar.google.com/citations?hl=e… ImageImageImageImage
=>
"Integrated Power – A Virtual Panel Session", IEEE SCV Power Electronics Society, Oct 22, 2020
1:57:37
(29 MB / 48 pp) ewh.ieee.org/r6/scv/pels/Po…
pSemi
Lion Semi
Navitas
Ferric
HP Labs / HPE

Overview of On-Chip Voltage Regulation
ImageImageImageImage
=>
"Semiconductors: U.S. Industry, Global Competition, and Federal Policy", CRS, Oct 26, 2020 PDF (58 pp) crsreports.congress.gov/product/pdf/R/…
DARPA ERI Summit 2020, Aug 20
SIA, Nov 17
电子行业 2021年度策略、2021年1月11日 ImageImageImageImage
=>
[ Sample ] "Glass Substrate for Semiconductor Applications 2020", Yole Development, Nov 2020
s3.i-micronews.com/uploads/2020/1…
Flyer s3.i-micronews.com/uploads/2020/1…
Modeling, Design, and Demonstration of 2.5-D Glass Interposer Packages for HPC Appls, PhD Thesis, 2017 ImageImageImage
=>
TSMC 4Q/2020, Jan 14, 2021
Edited Transcript investor.tsmc.com/english/encryp…
Wendell Huang, CFO
$25 to $28 billion CapEx for 2021
80%: Advanced process
10%: Advanced packaging and mask making
10%: Specialty technologies
C. C. Wei, CEO
N3 status
TSMC 3DFabric
ImageImage
=>
プリント配線板を支える高分子材料、日本ゴム協会誌、2011年10号 jstage.jst.go.jp/browse/gomu/84…
プリント配線板における表面処理の動向、表面技術、2014年8号 jstage.jst.go.jp/browse/sfj/65/…
真子 玄迅、‌味の素、エレクトロニクス実装学会誌、2018年3号 jstage.jst.go.jp/article/jiep/2…
2019 ImageImageImageImage
=>
"ASML reports €14.0 billion net sales and €3.6 billion net income in 2020", Jan 20, 2021 asml.com/en/news/press-…
€4.5 B 31 EUV
4Q
9 EUV
€4.2 B bookings, €1.1 B EUV (net 6)
asml.com/en/investors/f…
Slides asml.com/-/media/asml/f…
Q3 2020, Oct 14, 2020 ImageImageImageImage
=>
"Industry R&D Spending To Rise 4% After Hitting Record in 2020", IC Insights, Jan 19, 2021 icinsights.com/news/bulletins…
Top 10 R&D spenders (Intel, Samsung, Broadcom, Qualcomm, Nvidia, TSMC, MediaTek, Micron, SK Hynix, and AMD)
+11% in 2020 to $43.5 billion
64% of the industry Image
=>
"Samsung Elec goes all out to take lead automotive image sensor market", Jan 20, 2021 pulsenews.co.kr/view.php?year=…
"According to multiple sources from the electronics industry
. has already embarked on the conversion of its DRAM Line 11 ., and begun production of CMOS image sensor"
=>
"Decadal Plan for Semiconductors: Setting the 2030 Goals", Semiconductor Research Corporation (SRC), SIA Webinar, Dec 2, 2020
1:32:11
Slides semiconductors.org/wp-content/upl…
Q&A semiconductors.org/wp-content/upl…
The Decadal Plan for Semiconductors src.org/about/decadal-… ImageImageImageImage
=>
"Outlook for 2021: Are There Supply Limitations to Growth?", Jan Vardaman, TechSearch International, Inc, MEPTEC, Jan 13, 2021
57:32
meptec.org//Downloads/SIS…
What Could Go Wrong?
Substrate: Increased Demand
Ajinomoto Build-up Film ImageImageImageImage
=>
"State of the Art and Future Perspectives in Advanced CMOS Technology", CAS, Review, nanomaterials, Aug 7, 2020 mdpi.com/2079-4991/10/8…
Design of hGAA-FET and vGAA-FET and device simulation
Process technology for nanoscale transistors
Channel materials
Metrology
86 pp
447 refs ImageImage
=>
"FD-SOI Substrates for Edge Computing", Soitec, Dec 15, 2020, PDF soitec.com/media/files/So…
Why FD-SOI?
FD-SOI Substrates for
5G, Mar 2020
Automotive, May 2020

H1’21 Financial results, Nov 19, 2020, PDF soitec.com/media/files/So… ImageImageImageImage
=>
"High-NA EUV Lithography Exposure Tool
For EUV roadmap extension", ASML, EUV-FEL WS, Jan 22, 2021 conference-indico.kek.jp/event/125/cont…
0.55 NA, 8 nm: EXE:5000 / 5200
enabling affordable scaling beyond current decade
0.33 NA, 13 nm: NXE:3400C, NXE:3600D, NEXT
Jan 20 ImageImageImageImage
=>
De-embedding method for electrical response extraction of TSV in silicon interposer and signal integrity performance comparison w/ EMIB, MSc Thesis 2016 scholarsmine.mst.edu/masters_theses…
Aug 2014 newsroom.intel.com/news-releases/…

Feb 2015 (Oct 2012) patents.google.com/patent/US89469… ImageImageImageImage
=>
"The Decadal Plan for Semiconductors", SRC, Full Report Jan 2021, PDF (150 pages) src.org/about/decadal-…
Analog Electronics
Memory and Storage
Communication
Hardware Enabled ICT Security
Compute Trajectories for E-E Computing

SIA Webinar, Dec 2, 2020 ImageImageImageImage
=>
"EUV Lithography as key scaling enabler for logic and memory", Geert Vandenberghe, imec, EUV-EFL WS, Jan 22, 2021, PDF conference-indico.kek.jp/event/125/cont…
imec-ASML joint high-NA research lab, Oct 22, 2018 imec-int.com/en/articles/im…
High-NA EUV, ASML, EUV-EFL WS 2021 ImageImageImageImage
=>
[ Sample ]
6'' and Below: Small Dimension Wafer Market Trends 2020, Sep 2020
s3.i-micronews.com/uploads/2020/0…
s3.i-micronews.com/uploads/2020/0…
GlobalWafers, Dec 10
Compound Semiconductor Quarterly Market Monitor, Dec 2020
s3.i-micronews.com/uploads/2020/1…
s3.i-micronews.com/uploads/2020/1… ImageImageImageImage
=>
Intel's new technology puts ADCs and DACs operating at 64 G samples/s into packaged FPGAs, Jan 22, 2021 blogs.intel.com/psg/intels-new…
FPGA, Integrated ADC/DAC intel.com/content/www/us…
Solution Brie intel.com/content/www/us…
DoD SHIP
EMIB ImageImageImage
=>
"Progress in High NA EUV Optics Development for sub-10nm resolution", Carl Zeiss (IPCEI on Microele), ESSCIRC/ESSDERC 2020 ipcei-me.eu/wp-content/upl…
ZEISS, Jul 2019
ZEISS & ASML, Nov 3, 2016 asml.com/en/news/press-…
ASML, Jan 2021 ImageImageImageImage
=>
The "Important Project of Common European Interest" (IPCEI) in Microelectronics
A new path for investment in research, development and innovation by Europe's semiconductor industry, IPCEI
EuroSimE2020, Jul 2020, PDF ipcei-me.eu/wp-content/upl…
Dec 7, 2020 ImageImageImageImage
=>
"SkyWater Expanding with Florida Facility to Accelerate Domestic Advanced Packaging Capabilities for Microelectronics Manufacturing", Jan 26, 2021 skywatertechnology.com/press-releases…

NDAA FY2021
congress.gov/bill/116th-con…
01/01/2021: Public Law
=>
"一部のニュース記事等について"、味の素ファインテクノ、2021年2月3日 aft-website.com/news/4178
味の素ビルドアップフィルム不足について
「こうした記事は当社の発表に基づいたものではありません」
味の素ビルドアップフィルム (ABF)
ECTC 2019
=>
"Innovations for a more secure U.S. microelectronics supply chain", MS Azure, Feb 4 2021 azure.microsoft.com/en-us/blog/inn…
via @zeroasic Zero ASIC zeroasic.com
DoD RAMP, Oct 2020
Jan 2021
TSMC, Aug 2020 azure.microsoft.com/en-us/blog/mic… ImageImageImage
=>
"Foundry Industry's Robust Revenue Growth to Continue in 2021", Jan 11, 2021 counterpointresearch.com/foundry-indust…
5nm Wafer Shipment: Apple 53%
7nm (N7, N7+, N6) Wafer Shipment
"A Mega Wave of Capex Cycle Starts in Logic Semiconductor Industry", Feb 2, 2021 counterpointresearch.com/mega-wave-cape…
Capacity ImageImage
=>
"Germany ready to help Europe invest 'billions' in chip manufacturing", Feb 4, 2021 eenewsanalog.com/news/germany-r…
€50 billion
Handelsblatt, Feb 3, 2021 handelsblatt.com/politik/deutsc…
IPCEI, Jul 2020
Joint declaration, EU, Dec 7, 2020
2nm
=>
A Review of the 2020 Semiconductor Market and a Look to 2021, SIA Webinar, Feb 4, 2021
1:03:26
VLSI Research semiconductors.org/wp-content/upl…
vlsiresearch.com
Electronic Components Industry Association semiconductors.org/wp-content/upl…
ecianow.org ImageImageImageImage
=>
"Renesas and Dialog Semiconductor to Join Forces to Advance Global Leadership in Embedded Solutions", Feb 8, 2021 renesas.com/jp/en/about/pr…
ルネサスがDialog社を買収 renesas.com/jp/ja/about/pr…

Dialog
Q3, 2020, Nov 5 dialog-semiconductor.com/sites/default/…
Annual Report 2019 dialog-semiconductor.com/sites/default/… ImageImageImage
=>
3D&Chiplet Test, Nov 6, 2020
Intel Foveros: Session
DFT & HVM Test Strategy vimeo.com/478635429/7abc…
pld.ttu.ee/3dtest20/PDFfi…
Slides pld.ttu.ee/3dtest20/PDFfi…
Who's at Fault? vimeo.com/478635610/5a7d…
Pre-Silicon Validation Methodology vimeo.com/478635694/0909…
ImageImageImage
=>
"TSMC Board of Directors Meeting Resolutions", Feb 9, 2021 pr.tsmc.com/english/news/2…
"Approved the establishment of a wholly-owned subsidiary in Japan to expand our 3DIC material research, with a paid-in capital of not more than ¥18.6 billion ..."
日本に 3DIC材料研究子会社設立。 ImageImage
=>
"Samsung wants $1 billion tax incentive for new Austin plant that would create 1,800 jobs", Feb 4 (7), 2021 statesman.com/story/business…
kxan.com/news/local/aus…
Jan 18, 2021 assets.comptroller.texas.gov/ch313/1554/155…
4Q FY2020, Jan 28, 2021 irsvc.teletogether.com/sec/sec.php?c=…
Transcript seekingalpha.com/article/440154… ImageImage
=>
"ASML signs 10-year green power purchase agreement with RWE", Feb 9, 2021 asml.com/en/news/press-…
250+ gigawatt hours of renewable power per year
Onshore / Offshore wind farms
Solar plant
RWE Renewables group.rwe/en/the-group/o…
=>
"20 Years of Nanotechnology Innovation: Powering the Digitization of Our World", Dario Gil, Director of IBM Research, Keynote, NSF Nanoscale Science and Engineering Grantees Conference, Dec 1, 2020
MP4 (31:53, 2.1GB) nseresearch.org/2020/presentat…
PDF (116 MB) nseresearch.org/2020/presentat… ImageImageImageImage
=>
"Gartner Says Apple and Samsung Extended Their Lead as Top Semiconductor Customers in 2020", Feb 9, 2021 gartner.com/en/newsroom/pr…
1. Apple: 11.9% (+24.0%)
2. Samsung Electronics
3. Huawei (-23.5%)
...
8. Xiaomi (+26.0%)
9. 鴻海精密工業
10. HPE: 1.2%
Others: 58.0%
Total (+7.3%)
=>
Samsung Electronics 2020 Investors Forum, Nov 30, 2020 samsung.irpage.co.kr/newsView/37316
Memory
System LSI
Foundry
Display
Mobile
Consumer Electronics
CES 2021
Exynos 2100
Feb 4, 8
Feb 10 anandtech.com/show/16483/sam… ImageImageImageImage
=>
"Semiconductor Industry Leaders Urge President Biden to Prioritize Funding for Semiconductor Manufacturing, Research", Feb 11, 2021 semiconductors.org/semiconductor-…
SIA Board, PDF semiconductors.org/wp-content/upl…
CHIPS for America Act, NDAA 2021 congress.gov/bill/116th-con…
ImageImage
=>
"The Biden Administration Pledges to Address the Semiconductor Shortage", Feb 12, 2021 extremetech.com/computing/3199…
Feb 12 finance.yahoo.com/news/biden-tea…

Press Briefing, Feb 11 whitehouse.gov/briefing-room/…

Letter to President Biden, SIA, Feb 11 ImageImage
=>
"Creating an Agile Hardware Design Flow", Stanford, DAC 2020
Video (19:44) stanford.app.box.com/s/6tv07vdy1s3n…
Paper stanford.app.box.com/s/lieh7jsdzhov…
Slides stanford.app.box.com/s/crmvsvgtoser…
Stanford AHA! Agile Hardware Center github.com/StanfordAHA
AHA, Jul 2019 ImageImageImageImage
=>
"Asynchronous Logic: Design and EDA", Rajit Manohar, UCSC Seminar, Dec 8, 2020
PhD Thesis, 1999 thesis.library.caltech.edu/3095/
A. Martin
WOSET 2020 woset-workshop.github.io/WOSET2020.html…
Yale Async VLSI avlsi.csl.yale.edu
github.com/asyncvlsi/ ImageImageImageImage
=>
"Silicon vs. Organic Interposer: PPA and Reliability Tradeoffs in Heterogeneous 2.5D Chiplet Integration", ICCD 2020 ieeexplore.ieee.org/abstract/docum…
10:00
Best Paper
NVIDIA, 2013
Glass
EMIB ImageImageImage
=>
"Thermal challenges during test of High-Performance CPUs for client and server segments", Intel, Too Hot to Test 2021, Feb 9, 2021
36:52
PDF events.meptec.org/wp-content/upl…
Tester vs System
Power density

Intel Xe HPC
Foveros & Co-EMIB ImageImageImageImage
=>
"Real Silicon using Open Source EDA". T. Edwards (Efabless), M. Shalan, M. Kassem (Efabless), IEEE Design & Test, Early Access (Jan 27, 2021) ieeexplore.ieee.org/document/93366…
Tim Edwards, Nov 2020
efabless.com
M. Shalan scholar.google.com/citations?user…
=>
"Taiwan minister flags improvement in auto chip supplies", Feb 18, 2021 reuters.com/article/us-aut…
"Taiwan says receives U.S. letter on auto chips, firms are doing what they should", Feb 20 reuters.com/article/us-aut…
中央社 CNA cna.com.tw/news/firstnews…
en.wikipedia.org/wiki/Brian_Dee… Image
=>
"EUV: Status and Challenges Ahead", ASML, Int WS on EUVL 2010, Jun 23, 2010, PDF (48 pages) euvlitho.com/2010/Keynote-1…
Carl Zeiss, Sep 2020
imec, Jan 2021
High-NA EUV Lithography Exposure Tool, ASML, Jan 2021 ImageImageImageImage
=>
"EUVL Development in JAPAN
~ Challenge, Idea, and Latest Achievement~", Iwao Nishiyama, Semiconductor Leading Edge Technologies, Inc (Selete), Keynote, Int WS on EUVL 2010, Jan 24, 2010 PDF euvlitho.com/2010/Keynote-3…
ASML: Int WS on EUVL 2010 - Jan 2021 ImageImageImageImage
=>
"3D Design for Test Solution for Chiplet based Active Interposer Architecture", Univ. Grenoble Alpes, @CEA_List , @CEA_Leti , 3D&Chiplet Test, Nov 5, 2020
15:43 vimeo.com/478634024/c9ae…
Slides pld.ttu.ee/3dtest20/PDFfi…
PDF pld.ttu.ee/3dtest20/PDFfi…

CEA-Leti ImageImageImageImage
=>
"IntAct: A 96-Core Processor With Six Chiplets 3D-Stacked on an Active Interposer With Distributed Interconnects and Integrated Power Management", @CEA_Leti @CEA_List , JSSCC, Jan 1, 2021 hal.archives-ouvertes.fr/hal-03072959
3D&Chiplet Test
ImageImageImageImage
=>
Revenue of Top 10 Foundries in 1Q21, Feb 24, 2021, TrendForce trendforce.com/presscenter/ne…
1 TSMC: 25% (YoY), 56% (M/S)
2 Samsung, 11%, 18%
3 UMC: 14%, 7%
4 GlobalFoundries: 8%, 7%
5 SMIC; 17%, 5%
6 TowerJazz
7 力積電
8 世界先進
9 华虹半导体: 42%, 1%
10 DB HiTek
Top 10: 20%, 100% Image
=>
"Executive Order on America’s Supply Chains", Feb 24, 2021 whitehouse.gov/briefing-room/…
Sec. 3. 100-Day Supply Chain Review
Commerce
"semiconductor manufacturing and advanced packaging supply chains and policy"
FACT SHEET whitehouse.gov/briefing-room/…
Feb 12
SIA ImageImage
=>
"Intel Node Names", IC Knowledge, Feb 15, 2021 semiwiki.com/semiconductor-…
Nodes
"Equivalent Nodes" (EN)
"provides a way to convert Intel nodes into equivalent TSMC nodes and provides roadmaps for both companies into the late 2020s."
icknowledge.com/index.html Image
=>
"Semiconductor Industry: SK Hynix Signs EUV Equipment Contract", Feb 25, 2021, Business Korea businesskorea.co.kr/news/articleVi…
KRW 4.8 trillion ($4.34 billion) with ASML
About 24 EUV systems over next five years

Completion of M16 Plant, Feb 1
1a nm DRAM
EUV ImageImage
=>
"TSMC Ranks in Top-10 For Capacity in Three Wafer Size Categories", Feb 24, 2021, IC Insights icinsights.com/news/bulletins…
Top-10 installed capacity leaders in
300 (91%) / 200 (54) / ≦150 (53) mm
200nm Owners: 76 (Max in 2017) => 63
Top 10 Foundries in 1Q21 Image
=>
"Global Is the New Local: FPGA Architecture at 5nm and Beyond", FPGA 2021 dl.acm.org/doi/10.1145/34…
"from the available industrial disclosures, a consistent electrical model of the metal stacks of recent and current technologies, as well as future trends"
github.com/EPFL-LAP/fpga2… ImageImageImageImage

• • •

Missing some Tweet in this thread? You can try to force a refresh
 

Keep Current with OGAWA, Tadashi

OGAWA, Tadashi Profile picture

Stay in touch and get notified when new unrolls are available from this author!

Read all threads

This Thread may be Removed Anytime!

PDF

Twitter may remove this content at anytime! Save it as PDF for later use!

Try unrolling a thread yourself!

how to unroll video
  1. Follow @ThreadReaderApp to mention us!

  2. From a Twitter thread mention us with a keyword "unroll"
@threadreaderapp unroll

Practice here first or read more on our help page!

More from @ogawa_tter

21 May 20
=>
"Enabling Domain-Specific Architectures with an Open-Source Soft-Core GPGPU", Heterogeneity in Computing WS, May 18, 2020
Video dropbox.com/s/6hpq13kf262c…
Fully Customizable
Optimized for FPGA
github.com/mbrandalero/FG…
mbrandalero.github.io
FGPU, FPGA 2016 isfpga.org/fpga2016/index… ImageImageImageImage
=>
"Acceleration of Structural Analysis Simulations using CNN-based Auto-Tuning of Solver Tolerance", Fujitsu and U of Tokyo, iWAPT 2020, May 22, 2020
MP4 iwapt.org/2020/upload/da…
Slides iwapt.org/2020/upload/da…
AI inside HPC simulation
Incorporate AI inference at minimal overhead ImageImageImageImage
=>
"CrypTFlow: Secure TensorFlow Inference", Microsoft Research, IEEE Symposium on Security and Privacy, May 2020

PDF microsoft.com/en-us/research…
Athos, Porthos, Aramis
EzPC (Easy Secure Multi-party Computation) microsoft.com/en-us/research…
github.com/mpc-msri/EzPC ImageImageImageImage
Read 257 tweets
29 Feb 20
@O_FiberLady @ebiken OFCも規模の大きな展示会併設のようですし…
別件ですが
USENIX FAST, Feb 24-27, 2020 usenix.org/conference/fas…
USENIX NSDI, Feb 25-27, 2020 usenix.org/conference/nsd…
正式ペーパーと多くのスライドが公開です。
NSDI Best Paperの 1つ
.@O_FiberLady @ebiken NANOGでの興味深い講演です
Comparing the Network Performance of AWS, Azure, GCP, IBM Cloud and Alibaba Cloud, Feb 2020
Everything You Always Wanted to Know About Optical Networking, Oct 2019
これはすごいです。
.@O_FiberLady @ebiken NANOG79, Feb 12, 2020での Thomas Weibleさん (Co-Founder and CTO of Flexoptix flexoptix.net/en/ ) の内部の解説等 400Gbトランシーバを作る側からの講演です
100Gのテスト時間 (40分程) に対して 400Gでは約 90分、製造に対してテストが…
Read 6 tweets
24 Nov 19
=>
"Automatic Throughput and Critical Path Analysis of x86 and ARM Assembly Kernels", PMBS 2019 conferences.computer.org/sc19w/2019/pdf…
Slides dcs.warwick.ac.uk/pmbs/pmbs19/PM…
Open Source Architecture Code Analyzer github.com/RRZE-HPC/OSACA
x86 and ARM
Best Late-Breaking Paper Award blogs.fau.de/hager/archives… ImageImageImageImage
=>
"Performance Portability of a Wilson Dslash Stencil Operator Mini-App Using Kokkos and SYCL", Jefferson Lab, NVIDIA, Intel, Sandia, NERSC, P3HPC 2019
PDF conferences.computer.org/sc19w/2019/pdf…
Slides drive.google.com/file/d/1rBIzzd…
V100, K80
Skylake
KNL
Intel Gen9 GPU
oneAPI ImageImageImageImage
=>
"Performance Portability of Multi-Material Kernels", P3HPC 2019
conferences.computer.org/sc19w/2019/pdf…
Slides drive.google.com/file/d/12asEc4…
OpenMP, OpenACC, CUDA, SYCL, KOKKOS,
ThunderX2
POWER9
Broadwell, Skylake, KNL
P100, V100
(Radeon VII)
scholar.google.co.uk/citations?hl=e…

oneAPI ImageImageImageImage
Read 37 tweets
15 Sep 19
=>
"What's All This About Gallium Arsenide?", Seymour Cray, Distinguished Lecture, Supercomputing 1988, Nov 15, 1988 youtube.com/watch?v=8Z9VSt…
CRAY-3 (1988): 16,000 Mflops
CRAY-4 (1992): 128,000
CRAY-4 Module
CRAY-3: $10,000 (Brick)/$150, $300
CRAY-4: $5,000 ImageImageImageImage
=>
手元の nCUBE関連:
nCUBE: Practical, High Performace Solutions (住商エレ、1990)
NCUBE 2: Scalar Supercomputer (住商電子システム)
ORACLE for Massively Paralle Systems 技術概要 (日本オラクル、1990)
スーパーコンピューティングに於ける新しい方向性 (NCUBE、1989)
nTOUCH (NCUBE, 1992) ImageImageImageImage
=>
「超並列コンピュータの地平」
Super ASCII 1992年1月号
nCUBE Cube
Introduction to Data Parallel Supercomputing: CM-1(1986) & CM-2 (1987) (ノード間通信)
Thinking Machines (CM-2/CM-5日本語パンフレット等) ImageImageImageImage
Read 8 tweets
6 Jan 18
Meltdown, Spectreで学ぶ高性能コンピュータアーキテクチャ、 @dev_msyksphinz 、2018/1/6 msyksphinz.hatenablog.com/entry/2018/01/…
勉強になります
分岐予測
danluu.com/branch-predict…

博論、2015


アーキ比較の修論
=>
Ref
"Dynamic Branch Prediction with Perceptrons", HPCA 2001 cs.utexas.edu/~lin/papers/hp…
"Neural Methods for Dynamic Branch Prediction", ACM TOCS, Nov 2002 cs.utexas.edu/~lin/papers/to…
D. Jiménez, PhD Thesis, 2002 repositories.lib.utexas.edu/handle/2152/11…
cs.utexas.edu/~lin/
faculty.cse.tamu.edu/djimenez/
=>
二つ前ツイの一つ目引用 RT:CGO 2015での発表

のベンチを Meltdown、Spectre対処パッチをあた環境で行ったらどういう影響が出るだろうか (性能低下?)。
ラストの Seznec教授 (IRISA/INRIA) は Alpha EV8 branch predictorデザイン等に参加された分岐予想研究の大家です。
Read 23 tweets

Did Thread Reader help you today?

Support us! We are indie developers!


This site is made by just two indie developers on a laptop doing marketing, support and development! Read more about the story.

Become a Premium Member ($3/month or $30/year) and get exclusive features!

Become Premium

Too expensive? Make a small donation by buying us coffee ($5) or help with server cost ($10)

Donate via Paypal Become our Patreon

Thank you for your support!

Follow Us on Twitter!